Tôi thấy gương mặt của Chúa trong một nhà máy bán dẫn

Wired

Tác giả: Virginia Heffernan

Nguyễn Thành Nam, lược dịch

21-3-2023

Lời người dịch: Mỹ – Trung đang khởi động một cuộc “Chiến tranh Bán dẫn”. Trong bối cảnh đó, một nhà báo Mỹ có tên là Virginia-Heffernan đã làm một chuyến hành hương đến TSMC, công ty quan trọng nhất của ngành công nghiệp này. Bài báo viết về công nghệ và địa chính trị, nhưng đầy chất thơ. Dịch rất vất vả, nhưng nhìn lại cũng đáng. Hy vọng là hơn Google Translate.

Tặng các em sinh viên của tôi, những “nhà tương lai học” bất đắc dĩ!

Ảnh minh họa. Nguồn: Kopjes Kattenoppas

1. Những tuyệt tác chứa 1 tỷ tỷ chi tiết

Tôi đến Đài Loan trong một tâm trạng lo lắng bất an cho số phận của dân chủ. Hành lý thì bị mất. Đây là chuyến hành hương về Bảo Hộ Thần Sơn. Thần Sơn được coi là sẽ bảo vệ toàn bộ hòn đảo Đài Loan, và giờ đây, có thể là bảo vệ cả nền dân chủ, một thử nghiệm về quản trị coi trọng đạo đức, đang đưa cả thế giới tự do tiến tới phần hy vọng là tốt đẹp hơn của thế kỷ này. Thần Sơn thực ra là khu công nghiệp Tân Trúc, một thành phố bờ biển Đông Nam Đài Loan. Miếu thờ thần có tên Taiwan Semiconductor Manufacturing Company (TSMC).

TSMC là công ty bán dẫn lớn nhất thế giới về doanh số. Từ năm 2020, nó âm thầm lọt vào danh sách 10 công ty có giá trị nhất toàn cầu. To hơn cả Meta và Exxon. Công ty cũng có năng lực sản xuất các con chip logic lớn nhất thế giới, và hiện tại đang chế tạo 92% những con chip tiên tiến nhất, có thể đang dùng trong tên lửa hạt nhân hay những máy bay và tàu ngầm thế hệ mới đang tạo ra thế cân bằng cho thế giới.

Hơn nữa, TSMC sản xuất 1/3 chip cho iPhones và Mac. Cứ mỗi 6 tháng, một trong 13 phân xưởng của TSMC, cụ thể là xưởng có tên bí ẩn Fab18 ở Đài Nam, đục và ép hơn 10 tỷ tỷ transistors cho Apple. Nếu đếm từng kiệt tác tí xíu nằm trên các tấm vi mạch này, có thể nói, ngành công nghiệp bán dẫn mỗi năm sản xuất ra số lượng các sản phẩm, nhiều hơn tất cả các nhà máy thuộc tất cả các ngành khác trên thế giới sản xuất ra từ trước đến nay trong lịch sử.

Tất nhiên, khi ngồi trên tàu cao tốc tới Tân Trúc, tôi nhận thức rõ ràng rằng không hề nói quá khi bảo Thần Sơn đang bảo vệ Đài Loan. Mối đe dọa từ bên kia eo biển rộng 110 dặm là có thật, từng giây, từng ngày. Có nên gọi tên cụ thể nước nào không? Báo chí Đài Loan thường khéo léo miêu tả sự hung hăng của Bắc Kinh là “căng thẳng qua eo biển”. Đường hàng hải nội bộ hay đường hàng hải quốc tế, khi cả hai bờ eo biển đều nói tiếng Hoa phổ thông. Khi chưa được gọi tên, mối đe dọa cứ như thiên thạch vậy, vô cảm và vô lý. Có thể đâm vào bất cứ lúc nào và phá hủy hết mọi thứ.

***

Các nhà máy sản xuất bán dẫn, còn được gọi là “fabs” có thể coi là kỳ quan của văn minh nhân loại. Những con chip silicon được chúng đẻ ra, được xem là những phần tử sine qua non – “không-thể-thiếu” của thế giới đã hình thành, nó cần thiết cho cuộc sống con người như những hàng hóa tiêu dùng cơ bản. Mà chúng đúng là “commodities – thương phẩm” theo nghĩa đen của từ này từ thời trung cổ: thuận tiện, thoải mái, dễ trao đổi. Cuối những năm 80, một số nhà đầu tư thậm chí còn buôn bán chúng trên thị trường tương lai.

Nhưng không giống như đồng hoặc cỏ linh lăng, chúng không phải là nguyên liệu thô. Có thể chúng giống tiền hơn, những đồng tiền của quốc gia toàn cầu, được đặt mệnh giá theo công suất tính toán. Thực ra, cũng như mấy ký hiệu bí hiểm có thể biến một dải vải ni-lon tầm thường thành tờ đô-la, những vi mạch chằng chịt được in lên trên các tấm silicon thô sơ, bằng một công nghệ khá giống với công nghệ in tiền giấy, có thể biến các vật liệu vô giá trị thành những khối xây dựng nên giá trị. Đó là những gì xảy ra ở TSMC.

***

Tôi phờ phạc như người hành hương. 18 tiếng vạ vật ở hàng ghế sau của chiếc 777 chật cứng đã làm tôi trở thành phế thải. Tôi tìm cách xả mọi khó chịu bằng cách lướt qua những trò chơi của con iPhone, trong lúc vẫn phải nghĩ về Putin, Tập, những tay Cộng hòa MAGA, và những nhà “ảo thuật” theo chủ nghĩa hư vô với những thiết kế dân chủ quái đản. Cùng lúc đó, phải đến hàng triệu lần, tôi bắt gặp mình trở thành diều hâu, giống như dân cực hữu và giới giàu có cảm thấy khi họ bị stress: muốn vác súng xông vào cuộc chiến giữa các nền văn minh, hay tìm cách áp chế sự cạnh tranh của Trung quốc để kiếm tiền.

Sắp hạ cánh, các khách hàng mới biết là máy bay đã cất cánh không mang theo bất cứ một kiện hàng hóa phổ thông nào. Chúng tôi chỉ nhận được 2 chữ ở băng chuyền: “Chiến tranh Ucraina”. Chiếc Samsonite có bánh xe, với những cuốn sách giáo khoa “Cuộc chiến của những con chip – Chip War” của Chris Miller và “Đam mê và lợi ích – Passions and Interests” của Albert O. Hirschman hóa ra là vẫn đang ở New York. Chúng tôi phải đi người không vì máy bay bị cấm đi qua không phận Nga do Nga trả đũa vụ các máy bay của họ bị cấm vào không phận Mỹ do Nga xâm lược Ukraine.

Người Đài Loan theo sát cuộc chiến này cũng như sự dũng cảm của nhân dân Ukraine. Họ coi Ukraine như quốc gia “đồng bệnh tương liêu” khi phải sống bên cạnh một gã hàng xóm độc tài to lớn chỉ nhăm nhe nuốt chửng mình. Năm ngoái, Robert Tào, nhà sáng lập UMC, công ty bán dẫn đầu tiên và là đối thủ của TSMC, đã hiến gần $100 triệu để huấn luyện quân sự cho 3 triệu người dân Đài Loan, hy vọng họ có thể học theo những người anh em Ukraine.

TSMC, vốn nhìn mọi việc khá bình tĩnh, có vẻ coi hành động của Tào như một động tác làm hàng. Tào cũng khá đỏng đảnh, và đã đầu tư mạnh mẽ trong thời gian dài ở Trung Quốc. Bộ sưu tập gốm Tàu cổ của ông ta có cả 1 cái đĩa rửa bút vẽ 1000 năm tuổi, mà ông này mới bán được với giá 33 triệu mỹ kim. Năm 2006, Tào rời bỏ chức chủ tịch UMC vì bị đồn là đầu tư trái phép vào ngành bán dẫn Trung Quốc. Sau vụ này, Tào quay xe và gọi Đảng cộng sản Trung quốc là băng đảng tội phạm. Ông ta từ chối tiếp tôi trong chuyến đi này nếu tôi không cho ông ta lên sóng truyền hình. Tất nhiên là tôi từ chối.

***

Năm 1675, một nhà buôn người Pháp có tên là Jacques Savary công bố cuốn sách “Nhà buôn hoàn hảo – The Perfect Merchant”, một cuốn sách hướng dẫn buôn bán thương mại với thế giới. Albert Hirschman đã trích dẫn Savary để giải thích tại sao chủ nghĩa tư bản, vốn chỉ được coi là mánh khóe kiếm tiền trong thế kỷ 16, lại trở thành tham vọng của nhân loại trong thế kỷ 17.

Savary tin tưởng mạnh mẽ rằng thương mại quốc tế sẽ chống chỉ định với chiến tranh. Loài người không thể tiến hành thương mại xuyên biên giới, đa ngôn ngữ nếu không trau dồi hiểu biết về luật lệ, thói quen và các nền văn hóa khác. Savary cũng tin rằng tài nguyên của Trái đất, cũng như tình bằng hữu do thương mại tạo ra đều có nguồn gốc từ Chúa. “Chúa không muốn các tài nguyên thiên nhiên tập trung ở một chỗ. Ngài phân tán chúng như những món quà khắp nơi, để con người có thể trao đổi và giúp đỡ nhau tìm kiếm những gì cần thiết, tạo điều kiện để xây tình bằng hữu.”

Sự thành công của TSMC được xây dựng trên một sự thể hiện đặc biệt của hiện tượng phân tán thiêng liêng này. Công ty này có thể coi là “người chơi chuyên biệt”, với ý là họ chỉ làm một việc là cung cấp chip cho các hãng bán dẫn không có nhà máy như Marvell, AMD, MediaTek, Broadcom và các hãng điện tử gia dụng không có fabs như Apple và Nvidia. Đến lượt mình TSMC nhận quà từ các nước khác. Công ty như Sumco ở Nhật Bản, xử lý cát silicon đa tinh thể, được các công ty bán dẫn khác khai thác như ở Brazil, Pháp hay Núi Appalachian ở Mỹ, thành những thỏi silicon đơn tinh thể nóng. Các máy cưa kim cương sẽ cắt chúng thành những lát xốp lung linh, gần như không nhìn thấy, là vật thể mỏng nhất trên thế giới. Từ những lát xốp đường kính độ 30 phân, các máy tự động của TSMC, đa số do hãng in thạch bản (litho) Hà Lan ASML sản xuất, sẽ ép hàng tỷ con transistor vào những chỗ trống hình con chip. Tấm xốp lớn nhất có thể chứa hàng trăm chip. Kích thước của mỗi transistor nhỏ hơn khoảng 1000 lần so với mắt thường có thể nhìn thấy được.

Bởi thế, tôi muốn thấy TSMC vừa là tương lai vừa là quá khứ, nhờ vào mối lương duyên kiểu Savary mà dường như đã kết thúc, nơi dân chủ tự do, thương mại quốc tế, tiến bộ khoa học và nghệ thuật phát triển lành mạnh và không ngừng nghỉ. Thực tế, công ty gần như độc quyền về các con chip tốt nhất, giờ trở thành cái núm của tấm Khiên Silicon, thành quả vững chắc nhất của chính trị thực dụng thế kỷ 20. Theo logic mà nói, đế chế nào chiếm được TSMC sẽ sở hữu con ngỗng đẻ trứng vàng.

Như người hầu phòng mẫn cán tồn tại chỉ để tôn vinh ông chủ quí tộc của mình, TSMC cung cấp bộ não cho các sản phẩm mà không bao giờ đòi hỏi được xưng danh. Những fabs hoạt động bên trong cánh gà, vô hình dưới những tấm áo choàng, lặng lẽ chìm vào giữa những nhà thiết kế lòe loẹt, những nhà sản xuất và marketing còn bóng bẩy hơn nữa. TSMC có vẻ như dựa vào bí ẩn nào đó, nhưng bất cứ ai trong ngành đều hiểu rằng, nếu không có chip của TSMC, tất cả iPhone, iPad, Mac sẽ thành cục gạch ngay lập tức. TSMC vừa vô hình, vừa không thể thiếu được với loài người, như CEO của Nvidia, Jensen Huang phát biểu tại Stanford năm 2014: “Tóm lại, chỉ có không khí – và TSMC.”

2. Innocent Eyes – Những cặp mắt ngây thơ

Dù đang cãi nhau với hãng hàng không để lấy lại chiếc Samsonite, sau giờ làm việc, tôi vẫn phải đi mua bàn chải và vài bộ quần áo trong một siêu thị nhỏ trên tầng 3. Tôi cũng mới học được một khẩu quyết của nhà triết học Trung Hoa Hồ Thích từ năm 1920: chabuduo (差不多). Có nghĩa kiểu như “đại khái thế”. Chabudou trở thành cảm hứng cho tôi. Có thể một số nhà quản lý sẽ muốn kiên quyết loại bỏ khái niệm này như một thái độ thờ ơ, coi thường, và chắc là rất có hại trong một số sự vụ đòi hỏi tính chính xác. Nhưng với tôi, dạo phố trong bộ quần áo vừa nhặt được trong cửa hàng, và suy nghĩ về những điều lớn lao, chabuduo là bí kíp xua đuổi mọi sự khó chịu, từ thay đổi múi giờ, mất đồ đến tiếng kiếm rít từ Bắc Kinh.

Trước khi đặt chân đến trụ sở của TSMC, tôi đã hình dung ra những nhân viên kiểu google, đeo đồng hồ Patek Phillippe, hợm hĩnh uống lassi và ăn cá rán miễn phí. Nhưng hóa ra gu của TSMC lại giống tôi hiện tại: cotton, đồng phục, áo thun. Xếp hạng bình dân trên Yelp.

Trụ sở của TSMC nằm đối diện với fab của đối thủ UMC. Có vẻ kịch tính. Nhưng ở TSMC, sự thận trọng không chỉ là phần tốt hơn của lòng can đảm: đó là hình mẫu kinh doanh. Công ty ẩn mình mọi nơi mọi chỗ. Ngay từ dự định ban đầu, mặc dù ảnh hưởng toàn cầu, không ai biết tên của nó. Không có ai lang thang ngoài cổng chụp ảnh tự sướng như ở Google. Lời nhắc của người gác cổng không chụp ảnh có vẻ thừa thãi, vì tòa nhà kính với màu sắc quê mùa, đa phần là đỏ này chẳng đáng để chụp. Nó giống như một trung tâm thương mại tỉnh lẻ của Mỹ những năm 1990, kiểu như Charlotte, Bắc Carolina.

Các nhân viên của TSMC được trả lương tốt theo tiêu chuẩn Đài Loan. Lương khởi điểm của kỹ sư sẽ khoảng $5400/tháng trong khi giá thuê căn hộ một phòng ngủ ở Tân Trúc là $450. Nhưng họ không lượn lờ trong những bộ áo da và khoe cơ thể 6 múi như đồng nghiệp tech nổi danh bên Mỹ. Tôi hỏi Michael Kramer, chuyên gia truyền thông của TSMC mặc dù ăn mặc trông như giáo làng, về những ưu đãi đặc biệt cho nhân viên. Các hãng lớn trên thế giới hay dùng chiêu này để dụ người tài. TSMC có gì? Nghỉ phép dài để tự khám phá bản thân, hay phòng trị liệu dầu thơm. Kramer nói, TSMC chẳng có gì, chỉ có 10% giảm giá tại Burger King. Hẳn 10% cơ đấy. Có lẽ ai đó đến làm việc ở TSMC chỉ để làm việc ở TSMC.

***

Lần đầu tiên, tôi gọi cho Kramer từ New York bày tỏ mong muốn thăm fabs. Anh ta đã từ chối. Anh tiếp tục từ chối tôi 3 lần, và tôi vẫn kiên trì đeo bám, bày tỏ sự chân thành như con gái vua Lear. May mà gì chứ chân thành tôi không thiếu. Mối quan tâm của tôi đến các fabs đã trở thành gần như cuồng nhiệt. TSMC và các nguyên tắc hoạt động của nó bắt đầu xuất hiện trong các giấc mơ của tôi như sự cứu rỗi cuối cùng cho văn minh nhân loại. Tôi muốn được ngắm Thần Sơn và các lời hứa của nó bằng đôi mắt ngây thơ, dường như chưa có gì trong 300 năm vừa qua đã phá hỏng những tưởng tượng đẹp đẽ của Locke, Newton và Adam Smith.

Cuộc đua trong bán dẫn là nhanh và chính xác. Thông thường thì hai thứ đó đối lập nhau. Nhanh thì sẽ dễ đổ vỡ. Bởi thế nhân lực của TSMC đơn giản là huyền thoại. Nếu bạn coi việc chế tạo chất bán dẫn bình thường như bao nhà máy khác, bạn sẽ đánh giá nó là đơn điệu, hoặc tàn nhẫn hơn “hơi tự kỷ”. Nhưng công việc ở kích cỡ nano chỉ có thể coi là đơn điệu, khi tai bạn không đủ thính để nghe thấy âm hưởng của nó.

Theo Mark Liu, hai phẩm chất làm các nhà khoa học của TSMC khác biệt là tò mò và bền bỉ. Tôn giáo hóa ra cũng giống nhau. Liu nói: “Mỗi nhà khoa học đều phải tin vào Chúa.”

Tôi ngồi đối diện với chủ tịch trong căn phòng bày đầy các chiến tích. Có một mô hình hoành tráng, chi tiết chiếc tàu chở châu báu do hãng Yamaha tặng. Trong buổi nói chuyện, Liu lôi ra mô hình của ông ấy: một mô hình Lego của transistor hiệu ứng trường rất nổi tiếng của TSMC. Transistor này dùng điện trường để kiểm soát dòng diện trong bán dẫn. Những cái vây, hệ thống cổng và dòng điện rất nhỏ. Liu kể cho tôi: “Chúng tôi hoạt động ở qui mô nguyên tử. Tôi bảo các kỹ sư phải nghĩ mình chỉ có kích cỡ nguyên tử.” Ông còn trích dẫn sách Châm ngôn trong Kinh thánh, thường được giới thợ mỏ sử dụng: “Vinh quang của Đức Chúa Trời là giấu kín một điều gì, nhưng vinh quang của con người là tìm ra một điều gì đó.”

Hiểu rồi. Nhưng Trái đất không hề giấu diếm cát, nguồn chế ra silicon. Luận văn tiến sĩ của Liu ở UC Berkeley năm 1970 là về chuyển động ngẫu nhiên của ion khi được bắn vào trong silicon. Ông luôn cho rằng Chúa giấu các bí mật của mình trong nguyên tử. Và những khó báu nằm im trong vật chất, chờ đợi sự xuất hiện của các kính hiển vi electron và những nhà khoa học có đủ sự cần cù bỏ hàng chục năm dán mắt vào thế giới nguyên tử. “Không có con đường nào khác. Anh luôn có cảm giác chỉ chạm được vào bề mặt. Cho đến một ngày, nó phát lộ cho anh.” Liu tâm sự. Sự thật thà và cảm xúc ngạc nhiên rộng mở của ông thật đặc biệt với CEO của một công ty khổng lồ. Từ ông, tuyệt đối không có gì rẻ tiền hoặc làm hàng như Elon Musk. Ông làm tôi nhớ đến một câu nói trong những buổi lễ nhà thờ thời thơ ấu: sự hân hoan và chân thật của trái tim. Đó chính là Liu.

Liệu trí tò mò có học được không? Rõ ràng đó là phẩm chất đặc biệt của một số hệ thần kinh, và nó sẽ dẫn chủ nhân theo sự nghiệp – nhà nghiên cứu khoa học – tiếp cận thế giới vật chất như một củ hành bóc mãi không hết vỏ. Victor Frankenstein nói: “với sự hào hứng không ngừng nghỉ, không mệt mỏi, tôi đuổi theo tự nhiên đến chỗ ẩn náu của nó,”. Ở TSMC, cuộc đuổi bắt đó có thể coi như môn điền kinh, hoặc thậm chí hơi dâm đãng một chút, khi những NGƯỜI VĨ ĐẠI– GOATs đâm sâu hơn nữa vào thế giới nguyên tử.

Sự bền bỉ, giúp các nhà khoa học ở TSMC liên tục đẩy trò chơi nguyên tử đi tới, kiên trì vượt qua các thử thách và sai lầm. Làm sao một người vừa hào hứng, tò mò lại có thể chịu vất vả, hùng hục đào bới kiến thức. Đó là một trong những bí ẩn của tâm trí kỹ nghệ-nano. Một tâm trí yếu sẽ bị rúng động ngay lần tiếp xúc đầu tiên với sự nhàm chán. Mất tập trung. Một số người Đài Loan gọi đó là Tâm trí Mỹ.

***

Quá trình chuyển thể xảy ra trong fabs đại loại thế này. Đầu tiên ta có các tấm xốp silicon. Một máy chiếu treo bên trên có ống kính được che bằng một mảnh tinh thể khắc những hình mẫu đặc biệt. Những tia cực tím xuyên qua tinh thể để in thiết kế lên tấm silicon, sau đó được nhúng vào hóa chất để tẩy dọc theo mẫu. Quá trình cứ thế lặp lại cho đến khi hàng chục lớp lưới như vậy được in lên tấm silicon. Cuối cùng chip được cắt ra khỏi tấm silicon. Mỗi con chip có thể chứa đến hàng tỷ transistors, giống như một bàn cờ đa chiều với hàng tỷ ô cờ. Số lượng tổ hợp bật tắt của nó có thể coi là không giới hạn.

Trong thời gian lockdown, TSMC bắt đầu tích cực sử dụng AR (Augmented Reality)- thực tại tăng cường để hỗ trợ các buổi họp với đối tác tham gia điều hành quá trình từ xa. Họ cùng đeo kính AR để cùng nhau nhìn thấy và xử lý các vấn đề theo thời gian thực. TSMC hài lòng với hiệu quả của AR và tiếp tục dùng đến tận giờ. Tôi chưa thấy ai ngoài Mark Zuk hào hứng đến như thế về metaverse.

Nhưng AI và AR cũng không thể làm hết được mọi thứ. Mặc dù Liu rất hào hứng với tương lai các fabs sẽ được vận hành hoàn toàn bởi phần mềm, vẫn chưa có fabs “tắt đèn”, chưa có fabs vận hành được mà không cần mắt người và vì thế vẫn cần nguồn sáng để quan sát. Còn hiện tại, 20.000 kỹ thuật viên, tầm 1/3 lực lượng lao động của TSMC, đang giám sát từng bước quá trình sản xuất ở mức nguyên tử này. Các kỹ sư hệ thống và nhà nghiên cứu vật liệu vẫn phải bị dựng dậy từ trên giường để sửa những lỗi vô cùng nhỏ trên chips. Một số chips không vượt qua được vòng kiểm nghiệm khắt khe, và mặc dù AI có thể phục hồi được đa số, vẫn cần con người để chẩn đoán và giải quyết những ca hóc búa nhất. Liu nói với tôi, phát hiện được lỗi trên chip cũng khó như đứng ở vườn nhà mình tìm thấy đồng xu trên mặt trăng.

Đầu năm 2021, hàng trăm kỹ sư Mỹ bắt đầu được đào tạo tại TSMC chuẩn bị cho việc chi nhánh fab của TSMC ở Arizona, dự kiến sẽ đi vào hoạt động từ năm sau. Việc thực tập này có vẻ khá không suôn sẻ. Những tin đồn về sự va chạm về văn hóa đã lan truyền trên mạng xã hội và Glassdoor (website nơi nhân viên cũ và mới có thể ném đá công ty giấu mặt.) Các kỹ sư Mỹ chê TSMC là “hùng hục như trâu”, còn kỹ sư TSMC cho rằng các thanh niên Mỹ, toàn loại “em chã”, không đủ bản lĩnh để điều hành fab công nghệ cao. Một số còn cho rằng người Mỹ sang đây để ăn cắp bí mật chuyển giao cho Intel, cũng đang mở nhiều fabs ở Mỹ.

Mặc dù bản thân được đào tạo thành kỹ sư ở MIT và Stanford, Morris Chang, người sáng lập TSMC năm 1987, luôn giữ quan điểm là kỹ sư Mỹ không tò mò và quyết liệt bằng các đồng nghiệp ở Đài Loan. Tại một cuộc hội thảo cấp cao ở Đài Bắc năm 2021, Chang coi nhẹ cạnh tranh từ Intel, tuyên bố: “Không một ai ở Mỹ cam kết với công việc của mình như ở Đài Loan.”

***

Café đen ở 7-Eleven uống cũng tạm được, nhất là được Kramer mời từ phiếu giảm giá của anh ta. Kramer là bạn đồng hành tốt. Tôi thích cách anh ấy châm chọc sự háo hức của tôi về TSMC. Tôi đoán là anh cũng đã quen với việc làm nhẹ đi những câu hỏi khiêu khích về “căng thẳng qua eo biển” hoặc có thể ít hơn là về sự thiêng liêng của các fabs. Trong khi đợi đi tiếp, tôi thử ném một quả bom lý thuyết nặng hơn lên anh.

Để một công ty có thể gánh trên vai không những một lĩnh vực kinh tế lớn, mà còn toàn bộ liên minh dân chủ thế giới, chắc phải là một công ty anh hùng hào kiệt! Nhưng nói như thế về thành tựu của TSMC cũng chưa hẳn là quá. Mùa xuân năm ngoái, trong một đoạn phỏng vấn của Erza Klein, Adam Tooze, một nhà lịch sử kinh tế, đã phản đối việc coi các fabs chỉ là những thế lực thương mại và địa chính trị. “Nếu anh suy nghĩ về các xung đột xung quanh Đài Loan, nền công nghiệp bán dẫn toàn cầu không chỉ là chuỗi cung ứng. Đây là một trong những thành tựu khoa học công nghệ lớn nhất của loài người. Việc chúng ta có thể thực hiện nó ở mức độ nano, có thể nói, đã nâng loài người đối diện với Chúa.”

Nâng lên đối mặt với Chúa. Một đế chế vô song, theo giọng của Tooze. Tôi cố gắng gây ấn tượng với Kramer bằng cách cho anh nghe đi nghe lại đoạn băng này. Trong đầu tôi, giờ nó như bản thánh ca của Anh giáo, điểm tựa cần thiết cho nỗi lo lắng cho văn minh nhân loại của tôi, sinh ra dưới thời Trump và đến tận giờ vẫn gẩy tưng tưng các nơ ron thần kinh của tôi.

Kramer nói với tôi, anh là con của một nhà truyền giáo Mỹ dòng Luther và một cô giáo người Đài Loan. Anh học trường Công giáo ở Nam Đài Loan và sau đó Trường Mỹ Đài Bắc. Mặc dù người công giáo chỉ chiếm 6% dân số, Tôn Dật Tiên, quốc phụ của Trung hoa dân quốc cũng là người công giáo. Tổng thống Tưởng Giới Thạch theo đạo Tin lành, còn tổng thống Lý Đăng Huy là thành viên của Giáo hội trưởng lão.

Sau này, khi tôi trích lại lời của Tooze về gương mặt của Chúa cho Mark Liu, ông im lặng đồng ý, nhưng có điều chỉnh một chút: “Chúa ở đây nghĩa là Tự nhiên. Ở TSMC chúng tôi miêu tả gương mặt của Tự nhiên.”

3. Freestyle Diplomacy – Ngoại giao thoải mái

Trong khi các nhà khoa học của TMSC miêu tả gương mặt của tự nhiên, các quốc gia cạnh tranh nhau để chế ra các chất bán dẫn tốt hơn. Họ hoặc xây dựng các fabs và cải thiện công nghệ để theo kịp TSMC như Trung Quốc, hoặc liên minh với Đài Loan và TSMC, đôi khi đánh đồng cả hai thành một. Đó là con đường mà Mỹ theo đuổi. Mặc dù quan hệ giữa Mỹ và Đài khá đặc biệt và nhạy cảm, giờ đây họ đang cạnh tranh trong hệ quả của liên minh Mỹ-Anh từ thế kỷ 20.

Đạo luật Chips và Khoa học được tổng thống Biden ký tháng 8/2022, là hệ quả của thương vụ 12 tỷ đô nhằm mang các fabs của TSMC sang Mỹ. Thương vụ này do nhà ngoại giao kinh tế Keith Krach dàn xếp. Mục tiêu của Krach là củng cố chuỗi cung ứng dựa trên mạng lưới cung ứng rộng rãi của TSMC. Đạo luật Chips cung cấp khoản tiền $280 tỷ cho việc nghiên cứu, sản xuất và bảo đảm an ninh cho ngành bán dẫn, với mục đích rõ ràng là đẩy Trung Quốc ra khỏi lĩnh vực này, cũng có nghĩa là ra khỏi kinh tế thế giới. Krach nói với tôi: “Tập phát điên vì công nghiệp bán dẫn.”

Rất hấp dẫn và tự tin ở tuổi 65, tốt nghiệp kỹ sư ở Purdue, Krach trở thành chủ tịch hội đồng tín thác và giờ đây đang dẫn dắt Viện Ngoại giao Công nghệ mang tên Krach. Mới lớn, ông theo học nghề thợ hàn, sau đó mặc dù là phó chủ tịch trẻ nhất của GM, ông còn là CEO của DocuSign, tham gia sáng lập công ty phần mềm Ariba, đến tuổi này ông vẫn là người hết sức quyến rũ. Trước khi tới Bộ ngoại giao, ông chưa hề có kinh nghiệm làm việc trong chính phủ.

Với tôi, hơi thiển cận khi hô hào “tách khỏi” Trung quốc, đóng cửa giao thương và đuổi các nhà khoa học Trung quốc khỏi các dự án về môi trường hay nghiên cứu ung thư. Nhưng Krach khá thuyết phục khi tìm cách ngăn cản TQ tham gia các dự án thương mại mà theo ông, họ chơi không công bằng. Ông đã biến DocuSign từ một công ty khởi nghiệp thành một gã khổng lồ bằng cách tạo sự an toàn trên thực tế, và một cảm giác môi trường tin cậy xung quanh phần mềm cho phép người ta xác nhận những tài liệu nhạy cảm nhất. Krach tuyên bố: “trong công nghệ, sự tin cậy là tất cả.”

Tất nhiên, sự tử tế cần thiết cho việc ký xác nhận một tài liệu trên mạng chỉ là cái kim so với sự hợp tác quốc tế để chế được con chip bán dẫn. Ví dụ để sản xuất 1 lô chip cho, chẳng hạn, Nvidia, phải nhảy vào một mạng lưới chằng chịt nhưng minh bạch, liên quan đến các quốc gia có văn hóa và ý thức hệ khác nhau. Để bảo đảm một hệ thống quan hệ trơn tru giữa các đối tác thương mại trong “trật tự quốc tế dựa trên luật pháp” (theo lời ngoại trưởng Blinken), các quốc gia toàn trị không tin cậy, cần bị áp đặt kỷ luật. Như nhiều người đang tìm cách hệ thống hóa những tiêu chuẩn đạo đức cho thương mại hiện đại, Krach định nghĩa một tổ chức, chính phủ hay tư nhân là đáng tin cậy nếu họ có chính sách thỏa đáng về môi trường, chủ quyền quốc gia, nhân quyền, quản trị minh bạch, sở hữu trí tuệ và công bằng xã hội.

Khi ở Bộ Ngoại giao, Krach đã lập chiến tích. Ông đã thực hiện một chiến dịch ngoại giao kiểu mẫu trong những ngày đầu của mạng 5G, một tiêu chuẩn liên lạc băng thông rộng, hứa hẹn cho phép ngay cả các bác sĩ có thể phẫu thuật từ xa. Ngay trong cao điểm của dịch Covid, ông đã dẫn một đội đeo khẩu trang đi khắp 30 nước, từ Tây Ban Nha sang Sip rồi UAE. Mục tiêu là thuyết phục họ không dùng công nghệ 5G của Huawei, với lý do dễ bị người Trung Quốc thâm nhập, và đe dọa Mỹ sẽ không kết nối với những mạng “bẩn” như vậy.

Trông như một dạng tống tiền lịch lãm, nhưng sự hấp dẫn thật thà của dân vùng Trung Tây Mỹ đã mang lại kết quả đáng kinh ngạc. Trong khi các lãnh đạo quốc gia còn đang phân vân liệu có đủ tiền để tham gia Liên minh Mạng Sạch Dân chủ, Huawei đã bị loại khỏi cuộc chơi. Ngành bán dẫn, vốn phụ thuộc nhiều vào 5G, đang phát triển trong “trật tự thế giới có luật lệ”, gần như không có sự tham gia của Trung quốc. Nhưng nói gì thì nói, họ (TQ) vẫn đang sản xuất 15% sản lượng chips của thế giới và Hoàng đế mới của Đảng cộng sản quyết tâm đổ hàng ngàn tỷ đô la vào cuộc chơi trong 10 năm sắp tới.

***

Krach rất tự hào phát minh ra thuật ngữ “Công nghệ đáng tin cậy” khi miêu tả DocuSign và 5G. Khi càng đi sâu vào nghề, tôi càng thấy niềm tự hào đó là xứng đáng. Để Morris Chang thuyết phục được các công ty giao việc sản xuất cho TSMC, trong khi chính họ cũng đang làm việc đó, đòi hỏi một sự tin cậy ngay từ ngày đầu.

Nhưng các băng đảng tội phạm, cũng có định nghĩa sự tin cậy và danh dự của mình. Khác biệt ở đây chính là tính đa nguyên. Suy cho cùng, anh có thể tin cậy nhiều đối tác hơn, nếu anh chấp nhận những thỏa thuận xã hội khác nhau, anh không kỳ thị một đất nước chỉ vì họ duy trì một số tập tục khác lạ, như duy trì án tử hình hay cho phép hôn nhân đồng giới. Hơn nữa, các đối tác sẽ làm ăn với nhau khi họ tin đối phương không có ý định lừa đảo. Krach tuyên bố: “những điều tồn tại trong thế giới tự do như chính trực, minh bạch, chịu trách nhiệm, tôn trọng pháp luật, tư hữu tài sản, tự do báo chí….” là đảm bảo của sự tin cậy lẫn nhau.

Tháng 12 năm ngoái, dưới sự chứng kiến của Biden và Liu, TSMC công bố fab mới của mình ở Phoenix. Trong lễ khai mạc, Bộ trưởng thương mại Mỹ, Gina Raimondo phát biểu: “Hiện tại Mỹ không sản xuất trong nước bất cứ một loại chip tiên tiến nào. Đó là mối đe dọa cho an ninh quốc gia. Hôm nay, chúng ta thay đổi điều đó.” Đến lượt mình, Liu khiêm tốn khẳng định TSMC fab sẽ là một phần của “hệ sinh thái bán dẫn năng động ở Mỹ.”

Cả Liu và Biden đều khéo léo không nhắc đến fab như một bước đi tiến tới sự độc lập cho bất cứ nước nào, mà chỉ là một biểu tượng hợp tác. Biden nhấn mạnh đến 10,000 công việc mới, một sự đầu tư nước ngoài lớn nhất trong lịch sử Arizona. Còn giới thạo tin công nghệ thì chú ý đến sự hiện diện của Tim Cook, CEO của Apple. Vài tuần trước, Cook tuyên bố Apple sẽ bắt đầu sử dụng những con chip của TSMC “sản xuất tại Mỹ.”

Nhưng có một điều ai cũng biết nhưng không được nhắc đến đó là thiết kế của những con chips này vẫn được đội nghiên cứu của TSMC ở Tân Trúc cho ra đời với sự cải tiến liên tục thậm chí từng phút.

4. How to make a computer – Chế tạo máy tính thế nào

Tôi hy vọng là Kramer sẽ cho tôi là đáng tin cậy. Mối đe dọa từ bên kia eo biển, và mối đe dọa từ bất cứ ai liên minh với mối đe dọa đó, là có thật. Nhưng tôi không phải là Snowden. Mặc dù tôi được báo là có hàng trăm thậm chí hàng ngàn gián điệp ăn mặc bình thường như tôi, lượn lờ quanh đây. Nhưng tôi chỉ là một người hành hương mệt mỏi hy vọng được ngó vào gương mặt của Chúa.

Tuy nhiên, tôi cũng hơi lo. Tôi không thể để cho Kramer hiểu lầm phong cách thoải mái của tôi là biểu hiện của sự bất kính. Khắc vi mạch lên nguyên tử không phải là chuyện đùa. Fabs yêu cầu sự cẩn thận, tôn kính và sạch sẽ của một thầy tu. Một tay lạ hoắc, nhố nhăng, không có bằng kỹ sư có thể là một mối đe dọa, chẳng hạn có thể hắt xì hơi phun ra một đám mây electron. Tôi phải cách ly chabuduo của mình khỏi những fabs buồn tẻ không một hạt bụi, như những phân tử lỗi của khí neon.

Kramer yêu cầu tôi cung cấp số đo cho bộ đồ và giày bảo vệ. Có vẻ như có dấu hiệu tôi sẽ được vào trong. Rồi đùng một cái, tôi có lịch tham quan Fab 12A, còn có tên là GigaFab vì mỗi tháng có thể xử lý 100,000 tấm wafer kích cỡ 12 inch, kích cỡ lớn nhất. Thêm nữa, Samsonite của tôi cuối cùng cũng đến nơi.

***

Phấn khích, tôi lao ra Starbucks làm miếng bánh kẹp với Victor Chan, một nhà báo và nhà sử học Đài Loan. Tôi muốn hiểu về Đài Loan trước khi xem chất bán dẫn, Đài Loan mà anh ấy đã lớn lên. Chan nói chuyện tằng tằng.

Quyết tâm của Đài Loan về công nghệ bán dẫn, xuất phát từ nhu cầu kinh tế cấp thiết, thậm chí hơi tuyệt vọng. Sau chiến tranh, đất nước khá khó khăn, nhưng dần dần đi lên nhơ công nghiệp nhẹ, sản xuất các loại thìa dĩa, cốc chén và đặc biệt là ô. Đài loan rất giỏi làm ô, trong những năm 1970, cứ 4 cái ô trên thế giới thì có 3 cái được chế tạo ở hòn đảo này.

Cũng trong thập kỷ đó, Nixon nối lại quan hệ với Trung Quốc đẩy Đài Loan vào thế khó. Lục địa bây giờ bắt đầu sản xuất và xuất khẩu những hàng hóa trước đó mà quốc đảo vốn nổi tiếng. Ví dụ, trong 20 năm, Mattel đã đặt hàng sản xuất búp bê Barbie ở ngoại ô Thái Sơn, không xa Đài Bắc lắm. Thị trấn đó trở nên hoang tàn khi Mattel chuyển sản xuất sang TQ với chi phí rẻ hơn. (Thái Sơn vẫn giữ đài kỷ niệm Barbie, vị thánh nhựa của thành phố.) Chính quyền Đài Loan bắt đầu tìm cách làm cho mình có giá trị với Mỹ, giá trị đến mức mà Mỹ không thể làm ngơ hoặc điều khiển được.

Các công ty bán dẫn Mỹ bắt đầu phát hiện ra Đài Loan là nơi có thể lắp ráp chip. Năm 1976, RCA bắt đầu chia sẻ kinh nghiệm với các kỹ sư Đài Loan. Texas Instrument, khi đó do Morris Chang phụ trách kinh doanh toàn cầu, đã mở nhà máy ở Trung hòa, một huyện gần Đài Bắc. Cũng như tất cả các nhà máy bán dẫn khi đó, kể cả ở Silicon Valley, công nhân đa số là nữ. Các nhà công nghiệp không chỉ thấy nữ nhân viên dễ bị dụ khị và trả thấp hơn đàn ông (thật vậy không?) mà còn cho rằng họ có bàn tay nhỏ hơn và có lợi thế trong việc xử lý các vật thể nhỏ. (Năm 1972, nhà máy của Intel ở Penang, Malaysia, có toàn bộ công nhân là nữ.) Khi công việc trở nên có địa vị và lương cao, đàn ông mới chiếm lại. Thật là thuận tiện.

Nhưng suốt những năm 70 và 80, rất ít người ở Đài Loan biết fab làm cái gì. Chan nói: “Chip (khoai tây rán) thường đi cùng với sốt cà chua. Còn chúng tôi không hề biết gì về những chip bán dẫn”

Để sửa chữa, chính phủ Đài Loan bắt đầu đổ tiền vào đào tạo kỹ sư, trong khi ở đại lục tri thức bị chèn ép, đàn áp trong Cách mạng Văn hóa. Một số nhà công nghiệp và trí thức TQ có vẻ như mất niềm tin vào cơ hội kinh tế và giáo dục của đất nước, chuyển sang hợp tác với Đài Loan.

Điều này giải thích tại sao chính phủ Đài tiếp cận công ty Wang Laboratories vào những năm 1980 với câu hỏi ngớ ngẩn: làm máy tính thế nào? Ông chủ Wang, vốn sinh ở Thượng Hải, đã nhận lời tìm hiểu cơ hội sản xuất máy tính ở Đài và cuối cùng cũng chuyển khá nhiều hoạt động của Wang Lab về đây.

Năm 1982, Wang phát biểu: “việc chăm lo cho giáo dục trong suốt 30 năm đã bắt đầu mang lại quả ngọt. Tỷ lệ kỹ sư tốt nghiệp trên đầu người ở đây đã cao hơn Mỹ rất nhiều”. Không thấy cơ hội mở nhà máy sản xuất ở đại lục, Wang dự kiến mở trung tâm R&D ở Tân Trúc.

Cùng lúc đó, ở Dallas, Chang tiếp tục xoay bánh xe may mắn của mình tại Texas Instrument (TI). Ông tìm đến một bài thơ cổ từ thời Đường, khuyên chàng trai tham vọng, hãy leo lên tháp cao để nhìn rõ tất cả các con đường. Ông không thấy con đường của mình ở TI nên quyết định tự khai phá con đường mới ở Đài Loan. Ban đầu ông phụ trách Viện Công nghệ Công nghiệp, do chính phủ thành lập để tìm hiểu về kỹ nghệ công nghiệp, tập trung vào bán dẫn. Sau đó, năm 1987, K.T Li, lúc đó là Bộ trưởng KHCN Đài Loan đã thuyết phục Chang khởi nghiệp, tập trung vào xuất khẩu chips để lấy tiền nghiên cứu.

TSMC khai trương fab đầu tiên của mình cùng năm, và sau đó khởi công xây trụ sở của mình tại Công viên Tân Trúc cùng với UMC và Wang. Chính phủ Đài Loan và công ty Philips của Hà Lan là hai nhà đầu tư đầu tiên. Mối quan hệ Đài-Hà Lan được bắt đầu từ đầu thế kỷ 17 khi công ty Đông Ấn Hà lan đặt căn cứ thương mại trên đảo, đã dẫn đường cho hợp tác trong ngành công nghiệp bán dẫn. Không chỉ Philips quan trọng trong việc khởi động TSMC mà người anh em ruột thịt của TSMC bây giờ là công ty chế tạo máy in thạch bản ASML, có trụ sở ở Veldhoven.

Chip, lần này không có sốt cà chua đi kèm, đã dần thay thế ô và búp bê Barbies trong nền kinh tế Đài Loan. Và với việc các kỹ sư của mình phát triển các con chip với tốc độ nhanh hơn bất cứ nơi nào trên thế giới, bây giờ Đài Loan đã buộc Mỹ phải dựa vào mình.

***

Để thực sự không thể thiếu, một công ty toàn cầu phải biết đặt mình ở vị trí mấu chốt trong chuỗi cung ứng. Chang, người nghiên cứu các trận đánh Midway và Stalingrad để lên chiến lược cho công ty mình, đã khôn khéo đặt TSMC ở giữa thiết kế và sản phẩm. Kế hoạch của ông là: tập trung quyết liệt vào 1 cấu thành quan trọng, nhưng không nổi bật, của máy tính. Khi đó ông sẽ thuyết phục các công ty công nghệ hoành tráng, đang tiêu tiền như nước để lôi kéo khách hàng, đóng cửa các nhà máy và chuyển việc sản xuất chips cho TSMC. Ông đánh bại sự nghi ngờ là TSMC sẽ ăn cắp thiết kế các con chips, bằng việc không biết cách sử dụng nó: TSMC ăn cắp thiết kế chips cũng vô lý như nghĩ rằng người thợ in sẽ ăn cắp nội dung của tiểu thuyết. Cam kết đó đã giúp TSMC chiếm được thị phần lớn. Các công ty công nghệ chiếm sóng quảng cáo ở Super Bowl, dụ dỗ người hâm mộ và mua máy bay cho những nhà sáng lập của họ, thì TSMC chiếm 92%.

Krach gọi Chang là “Người tiên tri – The Oracle”. Ông lớn lên trong đất nước bị tàn phá bởi chiến tranh, và sang Harvard năm 1949, học Văn học Anh trong hai học kỳ. Ông coi đây là “năm hứng khởi nhất trong thời kỳ đi học.” Đầu giường ông có đủ các vở kịch của Shakespear và Hồng Lâu Mộng, tiểu thuyết kinh điển đời Thanh. Nhưng mặc dù yêu thích khoa học xã hội, ông hiểu rằng ở Mỹ vào những năm 1950, một người Trung quốc không có bằng kỹ sư, dù có học ở Ivy League, nhiều khả năng cũng sẽ chỉ làm trong các nhà hàng hoặc tiệm giặt. Chỉ có kỹ nghệ mới đưa họ lên tầng lớp trung lưu. Ông xin chuyển sang MIT. Tốt nghiệp, ông đến Sylvania để tham gia vào ngành bán dẫn, sau đó là TI, nhà tài trợ cho luận án tiến sĩ của ông ở Stanford.

Thách thức lớn nhất với Chang hóa ra không phải là sản xuất thiết bị, thiết kế mạng hay viết phần mềm, mà là chạy đua với Định luật Moore. Năm 1965, Gordon Moore, người sau này sáng lập Intel, tuyên bố rằng, mật độ transistor trên chip sẽ tăng gấp đôi sau mỗi 2 năm. Đầu những năm 60, trên mỗi con chip bằng ngón tay cái, có thể ép 4 transistor. Còn hôm nay, trên chip mà TSMC sản xuất cho công ty AI Cerebras, có 2.6 ngàn tỷ transistor. Định luật Moore, tất nhiên không phải là luật. Liu gọi nó là một niềm “hy vọng được chia sẻ”. Nói đơn giản, TSMC chia sẻ hy vọng cùng Moore.

Năm 2012, Chang được tôn vinh là Anh hùng Kỹ nghệ ở Stanford, một danh hiệu cũng được vinh tặng cho hai đồng sáng lập Google là Larry Page và Sergey Brin. Nhưng không như Page hay Brin, Chang không muốn xây dựng tên cho mình, ông muốn dồn tất cả cho thương hiệu. Nỗi ám ảnh của ông ở TSMC là quy trình, từng bước cải thiện hiệu quả chế tạo chất bán dẫn. TI thường lãng phí đến một nửa những tấm silicon đã được xử lý và khắc mạch trong quá trình chế tạo các con chip thanh tú. TSMC, tỷ lệ thành công được giấu kín, nhưng các nhà phân tích cho rằng có thể đến 80%.

Chiến lược kinh tế của TSMC cũng giống như chiến lược thiết kế cấu trúc công ty và bảo vệ Đài loan: không thể thiếu, nhưng vô hình. Làm các sản phẩm Trung quốc chạy, nhưng không cần kể công. Khởi động Apple nhưng bỏ qua các chiến dịch khoe hàng kiểu “Intel Inside”. Có lẽ chỉ TQ, Apple và những khách hàng khác của TSMC biết fabs phức tạp thế nào, nhưng sự tận hiến toàn vẹn và quyết tâm không lay chuyển đã đủ để mang lại giá trị thực sự cho công ty. Một số người thừa nhận với tôi là công việc ở công ty có thể nói là hùng mạnh nhất thế giới này “unsexy”. Một người nói: các cô gái không thích kỹ sư TSMC nhưng các bà mẹ thích. Vô hình như người theo đuổi. Không thể thiếu như chồng.

Các fabs chạy như đoàn tàu phì phò theo định luật Moore: hiệu suất gấp đôi, giá thành một nửa. Nhờ mức lợi nhuận khó tin, Chang thành lập Viện nghiên cứu dưới dạng một nhà máy. Nhờ tiền từ TSMC đổ vào R&D, năm 2002, Burn-Jeng Lin, khi đó là trưởng nhóm nghiên cứu in litho, đã tìm ra một cách rất thông minh để nâng cao mật độ vi mạch khắc trên chips. Năm 2014, Anthony Yen, một nhà nghiên cứu khác đã sáng chế ra phương pháp để nâng mật độ lên nữa. Công ty giờ sở hữu 56.000 bằng sáng chế.

Đêm trước chuyến thăm quan fabs, tôi test covid và để sẵn bộ quần áo làm việc nghiêm túc cùng 2 khẩu trang N-95 đen. Đeo khẩu trang vẫn đang bị bắt buộc. Tôi thấy như có 2 vạch đỏ trên que thử nghiệm. Nhưng không phải. No covid. Sáng mai tôi sẽ phải nói chuyện với Lin về việc ông ta đã phát minh ra phương pháp in litho trong nước thế nào? Sau đó tôi sẽ nói chuyện với Yen về cách ông đưa được litho dùng tia cực tím cực đoan vào thương mại. Chế tạo chips chính là in các bản mạch, và để hiểu được máy in, tôi cần phải hiểu litho.

5. Zero Defects – Không lỗi

Các máy in thạch bản(litho) là đặc sản của ASML, công ty đối tác của TSMC. Nghe đồn là mỗi chiếc máy thế hệ sau có thể có giá đến 400 triệu đô Mỹ. Tất cả những con chips mạnh nhất của thế giới đều dùng công nghệ của ASML. Nhưng TSMC cũng tiến hành nghiên cứu sâu trong lĩnh vực này, vì bánh xe của Moore vẫn đang tiếp tục quay.

Từ lithography – in thạch bản có cùng một nghĩa trong fabs cũng như trong các xưởng nghệ thuật. Kỹ thuật in này được Alois Senefelder, một nhà biên kịch Đức, phát minh năm 1796. Mặc Alois không có nhiều dấu ấn trên sân khấu, ông coi như trúng số trong ngành in vì phát hiện ra có thể tạo bản sao của kịch bản bằng cách viết chúng bằng bút sáp nhờn trên đá vôi ướt và sau đó lăn mực trên sáp. Bởi vì dầu và nước không trộn lẫn với nhau nên mực gốc dầu dính vào đá vôi ở một số chỗ và không dính ở những chỗ khác. Đây là cơ sở từ 0 tới 1 của kỹ thuật in thạch bản.

Cho đến cuối những năm 1960, các kỹ sư điện vẫn đổ sáp đen vào các khối germani trước khi khắc. Cũng không tệ nếu chỉ cần gắn 4 hoặc 8 transitors lên chip. Nhưng khi con số lên đến triệu, tỷ và bây giờ là ngàn tỷ, các linh kiện bây giờ vô hình hơn cả sáp, thậm chí không nhìn thấy được, các kỹ sư bắt đầu dùng ánh sáng để khắc vi mạch. Với kích thước bán dẫn càng ngày càng nhỏ, bước sóng của tia sáng càng phải thu hẹp, cuối cùng ra ngoài phổ nhìn thấy. Vào những năm 2000, các nhà sản xuất chips tưởng như định luật Law đã phải dừng bước. Lin nhớ lại, nếu transistor cỡ 65nanom thì vẫn dùng được công nghệ cũ, nhưng tôi tiên đoán là chúng ta sẽ có vấn đề khi kích thước giảm xuống 45nanom.

Một số người đặt cược vào tia cực tím cực đoan, nhưng phải đợi hàng năm thì các máy litho trong fabs mới có đủ nguồn điện cho nó. Một ý tưởng khác là sử dụng cái mà Lin gọi là bước sóng “ít xâm lấn”, nằm đâu đó giữa tia cực tím sâu và cực tím cực đoan. Nhưng vì những tia này không thể xuyên qua các thấu kính hiện tại, cần phải có những thấu kính ngoại lai làm bằng calcium fluoride. Các nhà khoa học đã chế ra hàng trăm lò nung để thu được tinh thể đúng, nhưng đều thất bại. Hàng tỷ đô la mất toi.

Quãng năm 2002, Lin cho rằng họ đã mất thời giờ. Ông đã quyết định vứt cả bước sóng với những thấu kính vớ vẩn, quay trở lại dùng nước. Với hệ số khúc xạ biết trước, các thợ in có thể dùng nước để điều chỉnh bước sóng cần thiết. Ông thiết kế một hệ thống để giữ nước cực kỳ tinh khiết, rồi chiếu tia sáng qua đó. Bingo. Ông đã có thể khắc những transistor kích cỡ 28nanom, gần như ko có lỗi. “Nước thật là kỳ diệu. Không chỉ cho TSMC mà cho tất cả chúng ta. Chúa đã rất nhân từ với loài cá, và loài người”. Lin nói.

Lin là một con chiên ngoan đạo của TSMC. Gương mặt ông rất linh hoạt và biểu cảm, cái nhìn và dáng đi của ông chẳng khác gì Gene Kelly (nghệ sĩ múa Mỹ) thời còn trẻ, mặc dù ông đã 80 tuổi. Tôi hỏi ông có thấy Chúa trong các nguyên tử như Liu không? “Tôi thấy Chúa ở khắp nơi, mọi kích cỡ. Hãy nhìn con chó, hay con hổ, hay thức ăn mà chúng ta đang ăn. Thật đáng kinh ngạc. Tại sao?” Từ một người thù ghét coi Thiên chúa là mê tín khi còn là sinh viên ở Việt Nam, giờ Lin quay xe tôn vinh Chúa là “trạng thái siêu thông minh.”

***

TSMC giờ trở thành tiên phong trong nghiên cứu bán dẫn. Nhưng vẫn chịu sức ép của định luật Moore. Năm 2014, Anthony Yen, người kế tục Lin trong vị trí nghiên cứu viên trưởng của TSMC, đã phát triển hệ thống in litho thế hệ mới cho hàng chục năm phía trước. Yen, giờ lãnh đạo nghiên cứu tại ASML, nói với tôi rằng kỹ thuật in litho bằng tia cực tím cực đoan đã hoàn thiện vào mùa thu năm đó.

Yen nói: “Chúng tôi thường xuyên làm việc muộn ở TSMC.” Tối hôm đó 14/10, ông đã chuẩn bị cho một đêm dài. Một nhóm từ ASML vừa đến TSMC đến kiểm tra hệ thống nguồn điện mà nhóm của Yen đang thực hiện. Với thiết kế hiện tại, nguồn điện chỉ ổn định ở cỡ 10 watts, với thiết kế mới, họ hy vọng sẽ nâng lên 250 watts. Yen ăn tối vội vã, khoác áo chạy vào fab và họ bắt đầu nâng công suất. Khi lên tới 90, ông biết đó là “Eureka moment – Khoảnh khắc phát hiện.”

Nếu máy đã chịu được công suất tăng 9 lần từ 10 lên 90 watts, thì từ 90 lên 250 chỉ chưa đến 3 lần, chắc phải khả thi. Ông cảm thấy quá phấn khích, cởi phăng áo chạy ra ngoài, không đợi cho công suất lên đến được 250. “Đối với những người có đức tin, đó là trải nghiệm của Chúa.” TSMC đã có công suất mình cần. Công ty cùng với ASML chỉnh sửa lại từng bước quá trình cho máy in litho cực tím cực đoan. Các transistor của TSMC giờ chỉ còn có kích thước 2nnm – bé nhất thế giới. Những bảo vật không thể nhìn thấy được này sẽ bắt đầu được sản xuất đại trà từ năm 2025.

Quay trở lại phòng họp của trường Đại học, sau khi công bố thắng lợi của TSMC trong litho, Burn-Jeng Lin làm dáng để chụp hình. “Chúa quá nhân từ với con người.” ông nhắc lại. Sự nhân từ của Chúa. Sự kỳ diệu của nước, những thăng hoa tôn giáo…. bơi trong đầu như những con cá được ban phước. Có vẻ William Blake đã đúng: “Nhìn thấy thế giới trong từng hạt cát.” Vì thế mà chúng ta đang ở đây.

Trước khi chia tay tôi hỏi Lin: làm thế nào mà ông lại không nản lòng trước những thách thức to lớn như vậy? Ông cười: “có gì đâu, chúng tôi phải giải quyết thôi, đó là tinh thần của TSMC”

6. The Face of God – Gương mặt của Chúa

Thời khắc cuối cùng cũng đã đến. Tôi là Neo, hoặc là một người bất kỳ trên chặng đường Hành hương tới Tiến bộ, dò dẫm từng bước tới số phận của mình. Kramer đi cạnh tôi, tiếp tục châm chọc nỗi ám ảnh về fabs của tôi. Với anh ta, có vẻ đó chỉ như mấy đụn bê tông, và anh luôn nhắc tôi là chẳng có gì mà xem đâu.

Không sao. Mặc dù tôi cũng không ngớ ngẩn về nano. Nhưng quan sát và đắm chìm vào là hai trạng thái khác hẳn nhau. Quan sát là để cho các đối tượng nghiên cứu. Còn đắm chìm là dành cho các bậc thánh thần.

Có một số thủ tục, chắc là để tránh việc vào nhà máy trở thành kịch tính. Tôi lách qua chiếc cửa quay, làm tôi nhớ đến trạm thu phí trong cuốn sách trẻ em “The Phantom Tollbooth” – ám chỉ là phải nhanh và mạnh mẽ, rồi trần mình trước một thiết bị kiểu như máy rửa xe dành cho người: rửa, vắt và sấy khô. Rồi xuất hiện 2 người hướng dẫn sạch bong, dẫn tôi vào một cái tiền sảnh rộng, có thể là một phần của bất cứ nhà tắm dành cho các trưởng lão thành Rome nào.

Các nhân viên đón tiếp, trong bộ áo liền quần, mang đến cho cho chúng tôi áo khoác đã được đặt sẵn theo kích cỡ. Rồi chụp mũ bảo hộ qua vai tôi. Có ai đó mặc áo trắng ngồi dưới chân tôi để điều chỉnh đệm giày. Tôi muốn nói cám ơn, nhưng quá khó, khẩu trang che mặt, kính che mắt, mũ chụp tóc và đa phần phần trên của cơ thể. Tôi không cảm thấy cơ thể mình.

Sau đó tôi được biết rằng, trong phòng rửa tay, không khí cũng được làm sạch đến mức phi tự nhiên. Một m3 không khí bình thường có thể chứa tới 1 triệu hạt bụi. Còn trong phòng làm sạch và fabs, chỉ được phép có 100. Ngay khi bước vào fabs, tôi có thể nhận ra ngay đây là không khí sạch nhất mình đã từng thở.

***

Tôi đã chuẩn bị cho cả những cảm xúc sung sướng hoặc thất vọng tột độ. Nhưng trải nghiệm của tôi lại chẳng liên quan gì. Một phòng to đùng, trắng sáng và sạch sẽ. Những người qua trải nghiệm cận tử trên bàn mổ, thường nói về những ánh sáng, chắc chắn đó là trần bệnh viện. Giống như ở đây vậy. Một môi trường được tẩy trắng, vô trùng, cận tử, như đường lên thiên đàng.

Dạo quanh, tôi bắt đầu hy vọng rằng nhận thức cuối cùng của bệnh nhân chết trên giường bệnh là những gì mà bệnh viện đã cố gắng để mang lại cho một sự sạch sẽ của thiên đường trong khi thịt xương đã không còn lành lặn. Những bóng đèn ở fabs, cũng như trong bệnh viện chiếu sáng một cách bình đẳng, lạnh lùng nhưng không phán xét, như ánh sáng mặt trời mà các bác sĩ và nhà khoa học, và cả các nền dân chủ đều cần có.

Mắt tôi nhòa đi khi thấy bóng dáng của chiếc máy litho. Dầu, muối, nước, Ôi, cảm xúc của con người là chất gây ô nhiễm đáng xấu hổ. Nhưng tôi không thể làm gì được. Tôi đang suy tưởng, có lẽ là lần thứ một triệu, về những nguyên tử đang được khắc lên. Tôi không thở được khi nghĩ đến việc chui vào đường hầm tới một đám các nguyên tử và tìm thấy nghệ thuật ở đó. Đó gần như là bỗng nhiên bắt gặp Laocoon (một nhân vật thần thoại Hy lạp) ở đâu đó rất xa, đằng sau giải Ngân Hà, giữa những chùm sao không tên lơ lửng trong vũ trụ.

Ở TSMC, mọi người nói thời gian đi như bay trong fabs. Thực vậy. Chúng tôi ở trong đã cả tiếng đồng hồ mà như mới 20 phút. Tôi đang bay bổng, mặc dù nếu trong trạng thái nhận thức bình thường hơn, có lẽ chỗ này với tôi cũng như một cái chợ ghê tởm. Tại sao con người lại cần chips. Để cuốn, xoa, gõ, gọi Uber? Hoặc phô trương quyền lực, kiểu như hạ cánh lên mặt trăng. Nếu tính đến vị thế của TSMC như Bảo hộ Sơn Thần, các fabs đơn giản là đáng sợ, những đầu đạn hạt nhân treo lơ lửng, gặm nhấm từng tí để phá hủy thế giới.

Nhưng lòng tham và quyền lực không phải những thứ mà fabs phục vụ. Cũng không phải dân chủ. Không phải Thiên chúa. Tôi đi rất chậm. Những cỗ máy thô kệch rầm rì chạy đằng sau những tấm kính dày ngăn cách tôi với những quá trình nano huyền bí mà tôi khó có thể cảm nhận được như một cậu học trò thô thiển.

Thình lình tôi hình dung ra những cỗ máy này giống như những cái lồng ấp trong phòng chăm sóc trẻ sơ sinh đặc biệt. Trong những lồng ấp đó, có cái gì đó rất mong manh lắc lư giữa tồn tại và tất cả những gì trước đó. Những linh hồn bé xíu còn chưa có hệ miễn dịch cần phải được bảo vệ. Tôi hình dung ra những transistors như những cơ thể run rẩy với làn da trong suốt đang thở gấp và nông. Chúng hoàn toàn phụ thuộc vào người lớn trân trọng chúng vì sự bé nhỏ mà tiềm năng khổng lồ. Những gì hiện diện ở đây là vô giá. Được nhìn thấy fabs là cảm thấy sự thôi thúc toàn thân để bảo vệ cho những sinh linh bé bỏng – vừa ra đời – và loài người nói chung – được sống.

***

Xong việc, tôi gọi điện về nhà cho bọn trẻ bằng chiếc iPhone do TSMC điều khiển. Sau đây khi trở về Mỹ, tôi sẽ nhớ là không có bất cứ một công ty toàn cầu nào đáng được tôn trọng. Nhưng bây giờ khi ở Đài Loan tôi thấy “không còn con đường nào khác”, như Liu phát biểu, khi chúng ta theo đuổi những lý tưởng Khai sáng. Tồn tại một thế giới vật chất có tính quy luật có thể tính toán được. Toán học và logic có thể thiết lập sự thật của thế giới đó. Con người có khả năng vừa có lòng tốt sâu sắc vừa có những kỳ công của thiên tài bay bổng. Dân chủ, tự do cá nhân và tự do ngôn luận dọn đường dẫn đến trí tuệ, trong khi các hệ thống độc tài khép kín cản trở điều đó. Trích Thomas Savary một lần nữa: “Việc trao đổi hàng hóa liên tục tạo nên tất cả sự ngọt ngào, dịu dàng và mềm mại của cuộc sống.”

“Tôi hy vọng những kẻ xấu sẽ nhận hình phạt của chúng,” Liu nói khi tôi hỏi về hy vọng của ông ấy cho tương lai. Đó là câu phê phán đầu tiên mà tôi nghe được từ chủ tịch TSMC. “Và tôi hy vọng những người đứng đắn” – ông ngừng lại – “Sự hợp tác của con người sẽ tiếp tục.”

Trên Núi Thiêng, những hình thức đạo đức công dân mới và tham vọng khoa học đang hình thành. Nhưng ngay cả siêu hình quý hiếm nhất tại TSMC cũng dựa trên một chất nền hữu hình: silicon. Silicon là một trong số ít những vật chất nhiều người ham muốn mà lại không hiếm. Nó là nguyên tố phổ biến thứ hai trong lớp vỏ Trái đất, sau oxy. Tính linh hoạt của nó đã tạo ra một sự thay đổi thể chế văn hóa có tính thời đại. Quá trình tắt/bật thụ động của dòng điện—kỹ thuật điện—đã nhường chỗ cho thiết bị điện tử hiện đại, sự chuyển kênh năng động và sáng tạo của các điện tử. “Chúa đã tạo ra silicon cho chúng tôi,” Liu nói với tôi.

Và vì vậy, chúng tôi đã đầu tư sức lao động, tài sản và niềm tin của mình vào silicon, đồng thời khai thác từ đó những cách mới để trải nghiệm và suy nghĩ về gần như mọi thứ. Trong khi con người bận rộn trong sáu thập kỷ qua với nỗi thống khổ chính trị và chiến tranh của mình, chúng ta cũng đã tạo ra một vũ trụ bên trong vũ trụ, một vũ trụ có trí thông minh vô hạn của riêng nó, bao gồm các công tắc nguyên tử bí ẩn, được soi sáng bằng tia cực tím và được xây trên cát.

Bình Luận từ Facebook

3 BÌNH LUẬN

  1. Cá nhân tài năng giúp thay đổi vận mệnh của cả một đất nước, một dân tộc.

    Tạo được điều kiện để cá nhân thể hiện tài năng thì đất nước đó, dân tộc đó sẽ cải thiện được vị thế trước các nước khác.

    Sự tin cậy tạo nên mối liên kết bền vững, đó mới là sức mạnh vô địch.

    Phải dẹp bỏ cộng sản thì đất nước ta, dân tộc ta mới hòa nhập được với nhân loại văn minh.

  2. Awesome! very sensible perfect translation! I had to drop everything at work to finish reading this very interesting article. Thanks for a nice translation and thanks for posting.


  3. Nhìn Đài Loan Hôm nay cổ vũ Giấc mơ Việt Nam Ngày mai
    *************************

    Định cư gần Đài Bắc, Đài Loan
    Dời Cali Thung lũng Hoa Vàng
    Tập đoàn sản xuất chất bán dẫn
    Thành Linh Sơn vệ quốc Đài Loan
    Nhân tài qui Cố Hương về Cố Quận
    Bên Eo biển thách thức Sóng thần
    Biết đến bao giờ Việt Nam nhỉ ?
    Từ Little Saigon + Thung lũng Hoa Vàng
    Mong Đàn con về Đất Mẹ Cố Quốc
    Canh tân Dân chủ giục giã lên đàng
    Dân Việt trăm triệu mau kịp lớn
    Hướng lai : Mùa Xuân Vĩnh cửu Việt Nam

    https://universite-digitale1.com/wp-content/uploads/2018/05/MeVietNam.jpg
    TRIỆU LƯƠNG DÂN VIỆT

BÌNH LUẬN

Xin bình luận ở đây
Xin nhập tên của bạn ở đây